侵权投诉
搜索
更多>> 热门搜索:
订阅
纠错
加入自媒体

英特尔穷尽摩尔定律,启动制程工艺新命名体系

2021-07-28 16:45
物联网智库
关注

物联网智库 原创

转载请注明来源和出处

导  读

“在穷尽元素周期表之前,我们将坚持不懈地追寻摩尔定律的脚步,并持续利用硅的神奇力量不断推进创新。”——英特尔公司CEO 帕特·基辛格

今日凌晨(7月27日),英特尔公布了公司有史以来最详细的制程工艺和封装技术路线图,展示了一系列底层技术创新,并有望将此影响延续至2025年及以后。

在本次“英特尔加速创新:制程工艺和封装技术线上发布会”上,英特尔除了公布了其近十多年来首个全新晶体管架构 RibbonFET 和业界首个全新的背面电能传输网络PowerVia之外,还重点介绍了采用下一代极紫外光刻(EUV)技术的计划,即高数值孔径(High-NA)EUV。英特尔有望率先获得业界第一台High-NA EUV光刻机。

英特尔公司CEO帕特·基辛格在发布会中表示:“基于英特尔在先进封装领域毋庸置疑的领先性,我们正在加快制程工艺创新的路线图,以确保到 2025 年制程性能再度领先业界。”

穷尽摩尔定律,启动新命名体系

数十年来,制程工艺“节点”的名称都与晶体管的栅极长度相对应。但从1997年开始,业界开始意识到,基于纳米的传统制程节点命名方法已经不再符合实际。

虽然业界多年前不再遵守这种命名法,但英特尔却一直沿用这种历史模式,即使用反映尺寸单位(如纳米)的递减数字来为节点命名。

不过,整个行业使用着各不相同的制程节点命名和编号方案,这些多样的方案既不再指代任何具体的度量方法,也无法全面展现如何实现能效和性能的最佳平衡。

今天,在披露制程工艺路线图时,英特尔引入了基于关键技术参数——包括性能、功耗和面积等的新命名体系。从上一个节点到下一个节点命名的数字递减,反映了对这些关键参数改进的整体评估,以帮助客户对整个行业的制程节点演进建立一个更准确的认知。

基辛格说:“今天公布的创新技术不仅有助于英特尔规划产品路线图,同时对我们的代工服务客户也至关重要。”随着英特尔代工服务(IFS)的推出,新的命名体系将有助英特尔的客户清晰了解情况,这比以往任何时候都显得更加重要。

具体来看,英特尔从下一个节点(此前被称作Enhance SuperFin)Intel 7开始,后续节点命名将陆续为Intel 4、Intel 3,而在Intel 3之后的下一个节点将被命名为Intel 20A,这一命名反映了向新时代的过渡,即工程师在原子水平上制造器件和材料的时代——半导体的埃米时代。

“摩尔定律仍在持续生效。对于未来十年走向超越‘1纳米’节点的创新,英特尔有着一条清晰的路径。”基辛格表示。

以下是英特尔制程技术路线图、实现每个节点的创新技术以及新节点命名的详细信息:

Intel 7(此前称之为10纳米Enhanced SuperFin):通过FinFET晶体管优化,每瓦性能比英特尔10纳米SuperFin提升约10% - 15%,优化方面包括更高应变性能、更低电阻的材料、新型高密度蚀刻技术、流线型结构,以及更高的金属堆栈实现布线优化。预计Intel 7将亮相于2021年推出的面向客户端的Alder Lake,以及预计将于2022年第一季度投产的面向数据中心的Sapphire Rapids也将内置Intel 7。

Intel 4(此前称之为Intel 7纳米):与Intel 7相比,Intel 4的每瓦性能1提高了约20% ,它是首个完全采用EUV光刻技术的英特尔FinFET节点。Intel 4将于2022年下半年投产,2023年出货,产品包括面向客户端的Meteor Lake和面向数据中心的Granite Rapids。EUV采用高度复杂的透镜和反射镜光学系统,将13.5纳米波长的光对焦,从而在硅片上刻印极微小的图样。相较于之前使用波长为193纳米的光源的技术,这是巨大的进步。

Intel 3:将继续获益于FinFET,较之Intel 4,Intel 3将在每瓦性能上实现约18%的提升。这是一个比通常的标准全节点改进水平更高的晶体管性能提升。Intel 3实现了更高密度、更高性能的库;提高了内在驱动电流;通过减少通孔电阻,优化了互连金属堆栈;与Intel 4相比,Intel 3在更多工序中增加了EUV的使用。Intel 3将于2023年下半年开始生产相关产品。

Intel 20A:得益于PowerVia和RibbonFET这两项突破性技术,开启了制程工艺的埃米时代。Intel 20A制程工艺技术上将与高通公司进行合作,预计将在2024年推出。

PowerVia是英特尔独有、业界首个背面电能传输网络,它消除晶圆正面的供电布线需求,优化信号布线,同时减少下垂和降低干扰。RibbonFET是英特尔研发的Gate All Around晶体管,是公司自2011年率先推出FinFET以来的首个全新晶体管架构,提供更快的晶体管开关速度,同时以更小的占用空间实现与多鳍结构相同的驱动电流。

Intel 18A:这是面向2025 年及更远的未来,基于Intel 20A更进一步的节点提升,目前正在研制中,预计将于2025年初推出。它将对RibbonFET进行改进,在晶体管性能上实现又一次重大飞跃。

英特尔还致力于定义、构建和部署下一代High-NA EUV,有望率先获得业界第一台High-NA EUV光刻机。英特尔正与 ASML 密切合作,确保这一行业突破性技术取得成功,超越当前一代 EUV。

“英特尔和ASML共同走在极紫外光刻(EUV)技术的前沿。随着英特尔不断拓展其全球工厂网络,我们随时准备提供能为未来创新做出贡献的最先进的EUV。我们对下一代高数值孔径EUV倍感兴奋,它将使芯片技术取得更大进步。”ASML公司CEO兼总裁Peter Wennink谈到。

英特尔代工服务推出,赶超台积电

随着英特尔全新IDM 2.0战略的实施,封装对于实现摩尔定律的益处变得更加重要。基辛格说,“业界对英特尔代工服务(IFS)有强烈的兴趣,今天我很高兴我们宣布了首次合作的两位重要客户。英特尔代工服务已扬帆起航!”英特尔对领先行业的先进封装路线图提出:

EMIB作为首个 2.5D 嵌入式桥接解决方案将继续引领行业,英特尔自2017年以来一直在出货EMIB产品。Sapphire Rapids 将成为采用EMIB(嵌入式多芯片互连桥接)批量出货的首个英特尔?至强?数据中心产品。它也将是业界首个提供几乎与单片设计相同性能的,但整合了两个光罩尺寸的器件。继Sapphire Rapids之后,下一代 EMIB的凸点间距将从 55微米缩短至 45微米。

Foveros利用晶圆级封装能力,提供史上首个 3D 堆叠解决方案。Meteor Lake是在客户端产品中实现Foveros技术的第二代部署。该产品具有 36微米的凸点间距,不同晶片可基于多个制程节点,热设计功率范围为 5-125W。

Foveros Omni开创了下一代Foveros技术,通过高性能3D堆叠技术为裸片到裸片的互连和模块化设计提供了无限制的灵活性。Foveros Omni允许裸片分解,将基于不同晶圆制程节点的多个顶片与多个基片混合搭配,预计将于2023年用到量产的产品中。

Foveros Direct实现了向直接铜对铜键合的转变,它可以实现低电阻互连,并使得从晶圆制成到封装开始,两者之间的界限不再那么截然。Foveros Direct 实现了10微米以下的凸点间距,使3D堆叠的互连密度提高了一个数量级,为功能性裸片分区提出了新的概念,这在以前是无法实现的。Foveros Direct 是对 Foveros Omni 的补充,预计也将于 2023年用到量产的产品中。

会上,英特尔宣布,AWS 将成为首个使用英特尔代工服务(IFS)封装解决方案的客户。

基辛格谈到,今天讨论的突破性技术主要在英特尔俄勒冈州和亚利桑那州的工厂开发,这巩固了英特尔作为美国唯一一家同时拥有芯片研发和制造能力的领先企业的地位。

写在最后

56年前,英特尔创始人之一的戈登·摩尔首次提出摩尔定律,成为整个半导体行业无比追捧的真理和发展方向,然而在最近几年的发展过程中,关于摩尔定律是否真实失效的讨论一直在业界争执不休。

今天,英特尔依旧延续着这一传统,并在全新的创新高度上制定路线图。基辛格谈到,“在穷尽元素周期表之前,摩尔定律都不会失效,英特尔将持续利用硅的神奇力量不断推进创新。”

英特尔高级副总裁兼技术开发总经理Ann Kelleher博士表示:“英特尔引领了从90纳米应变硅向45纳米高K金属栅极的过渡,并在22纳米时率先引入FinFET。凭借RibbonFET 和 PowerVia两大开创性技术,Intel 20A 将成为制程技术的另一个分水岭。”

那么,一度“穷途末路”的摩尔定律会不会随着进入埃米时代而老树逢春呢?

参考资料:

1.英特尔新闻稿

声明: 本文由入驻维科号的作者撰写,观点仅代表作者本人,不代表OFweek立场。如有侵权或其他问题,请联系举报。

发表评论

0条评论,0人参与

请输入评论内容...

请输入评论/评论长度6~500个字

您提交的评论过于频繁,请输入验证码继续

暂无评论

暂无评论

工控 猎头职位 更多
文章纠错
x
*文字标题:
*纠错内容:
联系邮箱:
*验 证 码:

粤公网安备 44030502002758号